library IEEE; -- dołączenie standardowej biblioteki IEEE use IEEE.STD_LOGIC_1164.all; -- dołączenie pakietu 'STD_LOGIC_1164' z biblioteki IEEE -- definiującego popularne stany logiczne entity dffr is -- opis interfejsu przerzutnika (połączeń z otoczeniem) port( CLK : in STD_LOGIC; -- wejście zegarowe RESET : in STD_LOGIC; -- wejście kasowania DIN : in STD_LOGIC; -- wejście danych DOUT : out STD_LOGIC -- wyjście danych ); end dffr; architecture behavior of dffr is -- opis w przerzutnika (w tym przypadku begin -- jest to opis behawioralny) dffar: process (CLK, RESET) -- proces z listą czuł (sensitive list) begin if rising_edge(CLK) then -- wykrywanie aktywnego zbocza zegara if RESET='1' then -- kasowanie stanem wysokim wejścia RESET DOUT <= '0'; -- przypisanie stałej to syg wyjściowego elsif DOUT <= DIN; -- przypisanie stanu wej do syg wyjści end if; end if; end process; end behavior;